ASML – Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control https://www.equipment-news.com As Asia’s number one English metalworking magazine, Asia Pacific Metalworking Equipment News (APMEN) is a must-read for professionals in the automotive, aerospace, die & mould, oil & gas, electrical & electronics and medical engineering industries. Thu, 23 May 2024 04:13:55 +0000 en-US hourly 1 https://wordpress.org/?v=6.5.3 ASML Secret Sauce For Semiconductor Success Amid Challenges In The Angstrom Era https://www.equipment-news.com/asml-secret-sauce-for-semiconductor-success-amid-challenges-in-the-angstrom-era/ https://www.equipment-news.com/asml-secret-sauce-for-semiconductor-success-amid-challenges-in-the-angstrom-era/#comments_reply Thu, 23 May 2024 04:08:32 +0000 https://www.equipment-news.com/?p=33096 Moore’s Law is not advancing as fast as it used to be under 2nm and even into the Angstrom level, and competitors are trying to catch up. Can ASML continue its monopolistic leadership in the extreme ultraviolet (EUV) lithography equipment…

The post ASML Secret Sauce For Semiconductor Success Amid Challenges In The Angstrom Era appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Moore’s Law is not advancing as fast as it used to be under 2nm and even into the Angstrom level, and competitors are trying to catch up. Can ASML continue its monopolistic leadership in the extreme ultraviolet (EUV) lithography equipment market?

Source: DIGITimes


Will ASML be affected with the geopolitical uncertainties in the future, given the world’s most advanced high-NA EUV machines is touted to be the ultimate weapon for a technological leapfrog for its customers. 

  1. Dan Hutcheson, vice chair of TechInsights, who has covered ASML since the 1970s, when it was still a subsidiary of Philips, and Marc Hijink, the author of Focus – the ASML Way and a reporter at Dutch newspaper NRC, joined DIGITIMES Asia for an insightful talk to address these questions.

Navigating Geopolitical Uncertainties

Although ASML and other semiconductor equipment providers benefit from the demand driven by export controls imposed by the United States to China, geopolitics may have long-lasting implications on the industry as extra capacities and ecosystems are built.

Hutcheson and Hijink see ASML following their customers’ footsteps to decentralise their productions from the Western Pacific corridor to the United States and Europe. Still, the efficiency of its customers’ fab operations may be a concern.

“We’re in a new world where the utilization of the tools is lower,” said Hutcheson, “The problem is, if you can’t find the workers to run the tools or to repair them, keep them running, your wafer fab is useless.”

Hijink observed that Asia will remain an important center for chip production in the future despite the onshoring efforts of various countries and the talent shortage issue since South Korea and Taiwan remain an important part of ASML’s expansion.

ASML’s Monopoly Status Unlikely To Be Challenged

Yet, Hijink cautioned, “ASML’s biggest fear is that the current restrictions on Chinese technology might even stimulate it in the long term and create an even bigger problem.” He pointed out that the less China gets access to these Western lithography tools, the more the Chinese companies are inclined to build their own. “Even though they might not be able to compete with ASML and Nikon now, you see more room for growth and R&D money in the long term.”

Yet, Hutcheson believes no company can challenge ASML lithography monopoly without massive government subsidies.

“Five decades ago, I developed this maxim that the number of unsubsidised competitors a market can sustain is equal to 1/5 the total market size divided by the cost to develop a new generation of technology, and then you subtract 1.5 times that cost,” said Hutcheson. “To attract another competitor in the market, the risk bar must be low enough that there’s enough available R&D to support the development of at least 1.5 more tools than what exists in the market at that time.”

Over those five decades, that maximum is held across 100, or there have been more sub-markets of semiconductor equipment, and that explains the market consolidation of the market from more than 20 semiconductor equipment makers in the 1980s to just a handful right now for lithography, explained Hutcheson.

As a semiconductor industry veteran, Hutcheson witnessed how ASML survived over the past four decades of vicissitudes through better management and technological development. Hijink added that ASML survived because it out-invested in R&D during the low cycles despite being on the brink of bankruptcy several times and cleverly invited its customers TSMC, Intel, and Samsung to invest in it and co-develop the EUV machine.

By getting the investments, ASML managed to get the money to acquire Cymer, the light source company based in San Diego, and establish a strategic alliance with ZEISS, the German lens maker. Those key components and e-beam technology created ASML’s secret sauce of success that competitors cannot copy.

However, repeating such success is getting harder.

“No single company can afford to do it alone, as R&D becomes more and more expensive,” Hutcheson quoted John Chen of Nvidia, who was the first R&D president at TSMC, that,” IC no longer means integrated circuit going forward, IC means industry collaboration.”

Hutcheson estimates that the cost of developing a new EUV tool from scratch would require multiple trillions of dollars, which is equal to the Gross Domestic Product for some countries, and that’s assuming that one gets around all the IP barriers in place. “To some extent, it levels the geopolitical playing field. So maybe EUV should receive the Nobel Peace Prize because no country can attack any other country unless they have the EUV,” quipped Hutcheson.

Can High-NA Machines Help Technology Leapfrog?

As Intel, Samsung, and TSMC are aiming to mass produce 2nm chips in the next few years and advance towards 1.4-1.6 nm, the ASML’s high-NA EUVs are making the headlines of semiconductor industry newspapers.

As always, ASML ships the US$300 million High-NA machines to customers according to the timetable agreed with customers and does the laborious adjusting and error corrections with customers. The media has been describing high-NA EUVs as the key for technology catch-uppers to leapfrog the incumbent. However, Hutcheson delved deeply into the intricacies involved in the complex processes of semiconductor manufacturing beyond lithography.

“There’s a lot of technology issues in the 2nm and 1.4nm era. And we will have to see if they can pull it off and turn it into an advantage,” Hutcheson explained that lithography is not the only factor at stake, there are also issues with the gate-all-around (GAA) structures, due to the leakage problems with that. “There’s also reliability problems, and the epitaxial layer is pretty poor. So really, there are more material and systems engineering problems than lithography problems.”

Though Intel has seemingly bought up all available high-NA machines for the year, TSMC is not in a hurry to apply it to its 1.4-1.6nm process. However, ASML said all of their customers who have bought EUVs are going to upgrade to High-NA EUVs.

“I think that Intel has to do more, try to leapfrog TSMC, which is a daring move, but that’s just one part of Intel’s challenge,” said Hijink. “Their biggest challenge is not the technology, but the fact that they want to do foundry business because they have to create enough wafer footprint or enough scale to be economically viable with huge competitors like Samsung and TSMC. So that adds to the problem of adopting a new technology where they’re not as experienced.”

Hutcheson cautioned that it is too early to judge the outcome of technology competitions. Yet seeing from the history of the semiconductors industry, decades of research, blood, sweat, and tears, and fixing tonnes of errors, plus making the right decisions at the right timing and building deep trust with customers made ASML and TSMC what they are today.

ASML, a blend of European, Asian, and American cultures as the result of acquisitions, can be a great teacher for TSMC, which is just starting to expand its footprints to the US and Europe. With such a close bond between the two, with AI being the main driving force for semiconductor’s future growth, one can be sure there will not be short of fascinating stories in the future.

Editor’s note: For more insights and analysis from Hutcheson and Hijink on the industry, watch DIGITIMES Asia’s new GeoWatch video, ‘Decoding Excellence: ASML’s Evolution Towards Leadership and Beyond.’ Click the link here to access this informative video: https://dgt.ms/geowatch0516

 

What You Missed:

 

 

AI Has Crept Its Way Into Aerial Combat
Tesla Profits Decline By More Than 50% In Q1 2024
Tesla Reduces Full Self-Driving Software Price To US$8,000
Researchers Turn Metal Waste Into Catalyst For Hydrogen
Is AI Is Looking More Like A Band Aid Now?
Rever Automotive Builds And Tests BYD Buses In Thailand
Tesla Cuts More Than 10% Of Its Workforce
Tesla Settling Lawsuit Erodes Faith In Autonomous Driving
IoT Offers A Wealth Of Opportunities
High Household Debt In Dips Thailand Automotive Production And Sales

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

 

The post ASML Secret Sauce For Semiconductor Success Amid Challenges In The Angstrom Era appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
https://www.equipment-news.com/asml-secret-sauce-for-semiconductor-success-amid-challenges-in-the-angstrom-era/feed/ 0
ASML Unveils Latest High-NA Extreme Ultraviolet (EUV) System https://www.equipment-news.com/asml-unveils-latest-high-na-extreme-ultraviolet-euv-system/ Fri, 16 Feb 2024 02:55:42 +0000 https://www.equipment-news.com/?p=32325 ASML Holding NV showcased its latest chipmaking machine, a €350 million (US$380 million) piece of equipment that weighs as much as two Airbus A320s, Bloomberg reported. Media outlets got a look of the ASML High-NA extreme ultraviolet (EUV) system. Intel Corp…

The post ASML Unveils Latest High-NA Extreme Ultraviolet (EUV) System appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
ASML Holding NV showcased its latest chipmaking machine, a €350 million (US$380 million) piece of equipment that weighs as much as two Airbus A320s, Bloomberg reported.


Media outlets got a look of the ASML High-NA extreme ultraviolet (EUV) system. Intel Corp has placed orders and got the first one shipped to a factory in Oregon in late December. The company plans to start making chips with it late next year.

ASML’s machine can print lines on semiconductors 8 nanometers thick, 1.7 times smaller than the previous generation. The thinner the lines, the more transistors can be fitted on a chip, and the higher the processing speeds and memory.

ASML executives said the system will prove essential for artificial intelligence (AI), a technology notorious for the intensity of the processing it requires. AI will need “massive amounts of computing power and data storage. I think without ASML, without our technology, that’s not going to happen,” CEO Peter Wennink told Bloomberg. “It’s going to be a big driver for our business.”

ASML produces equipment needed to make the most sophisticated semiconductors, and demand for its products is a bellwether for the industry’s health. The Dutch company received record orders for its extreme ultraviolet (EUV) lithography machines in Q4 2023, showing optimism among the biggest customers for the technology, including Intel, Samsung Electronics Company and TSMC.

Installation of the first 150,000kg system required 250 crates, 250 engineers and six months to complete, ASML spokesperson Monique Mols said. The rise of generative AI over the past year, catalysed by OpenAI’s ChatGPT launch late 2022, has boosted expectations for semiconductor companies across the board. The so-called low-NA EUV machines, which ASML has been selling since 2018 cost €170 million.

 

 

 

 

What You Missed:

 

 

Japan Sinks Into Recession, Surprising Many Economists
Changi Airport Tests Aurrigo Autonomous Baggage Handling Vehicle
Spirit To Ramp Up Robotics To Reduce 737 Fuselage Problems
Tesla Recalls 2 Million Cars Over Brake System Warning Light
Robotaxi Firm Motional Loses Funding From Aptiv
DJI’s Releases First Delivery Drone, DJI FlyCart 30 (FC30)
Tesla Dethroned By BYD As World’s Best Selling EV Maker
Quang Ninh Industrial Zones Face Electricity Shortage
Siemens And Intel To Collaborate On Advanced Semiconductor Manufacturing
Universal Robots Launches 30 Kg Cobot
Charlie Munger, The Man Who Saw BYD’s Potential Passes At 99

 

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post ASML Unveils Latest High-NA Extreme Ultraviolet (EUV) System appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
ASML Started Limiting Chip Gear Exports To China https://www.equipment-news.com/asml-started-limiting-chip-gear-exports-to-china/ Tue, 05 Sep 2023 06:16:22 +0000 https://www.equipment-news.com/?p=30741 At at June, the Netherlands issued an unprecedented export control restricting ASML Holding NV’s chip-making machines from being sent to China. Source: Techwire Asia Although the export control, effective 1 September 2023, does not explicitly mention China, the rules state that…

The post ASML Started Limiting Chip Gear Exports To China appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
At at June, the Netherlands issued an unprecedented export control restricting ASML Holding NV’s chip-making machines from being sent to China.

Source: Techwire Asia


Although the export control, effective 1 September 2023, does not explicitly mention China, the rules state that ASML – the only company in the world that makes highly sophisticated chip making machines – will have to apply for a license to ship its advanced deep ultraviolet lithography, or DUV, systems to China.

The move by the Dutch government was widely seen as an alignment with the US and Japan and is designed to restrict the shipments of three models of ASML machines to China, Bloomberg News reportedASML has been barred from selling EUV lithography machines to China since 2019, but it had been able to sell DUV systems until this year, amid escalating pressure from the US.

With the latest rules coming into effect, Chinese chip foundries have four more months to import ASML’s most advanced immersion deep ultraviolet (DUV) lithography system, Twinscan NXT:2000i, with approval from The Hague. That is, systems capable of making chips at the 5-nanometre process or more advanced.

The Dutch company will be able to continue shipments of some advanced machines this year, but on January 1, 2024, “it is unlikely we will receive export licenses for these systems for shipment to domestic Chinese customers,” it said on 1 September 2023. So far, China’s imports of Dutch lithography machines have surged this year, with the first seven months already surpassing ASML’s previous forecast for 2023 sales to China.

From January to July, Chinese imports of Dutch-made lithography machines, nearly all from ASML, grew 64.8% year-on-year to US$2.58 billion, Chinese semiconductor industry consultancy JW Insights said in a report, citing China customs data. In January, ASML projected that its sales to China this year would remain steady at around € 2.2 billion (US$2.36 billion), or 14% of its total annual revenue.

In July alone, China imported US$626 million worth of lithography machines from the Netherlands, nearly eight times more than it did in the same month last year, according to the report. The main reason for this spike is that ASML has a near monopoly on the world’s most advanced lithography machines, which are required to produce cutting-edge chips.

How Does The Chip Gear Export Control Impact China And ASML?

First and foremost, ASML is not only the largest European tech firm by market valuation but also the world’s only manufacturer of specific lithography systems, which are needed to print patterns of transistors on silicon wafers. Despite China’s accelerated drive to use more locally produced tools and critical components, semiconductor equipment procured in-country accounts for just 15% of the total at Chinese foundries, a top executive said at an industry conference this month. The other 85% of machines come from the US, the Netherlands, and Japan.

ASML has also been downplaying the potential impact on annual sales this year after beating estimates in H1 2023. It said in a prior statement that the measures will “not have a material effect on our financial outlook” for 2023 or in the longer term. 

China has, however, warned the Dutch government that there would be consequences if the government blocked the export of high-end chip making equipment to China.

Separately, since July, companies in Japan must obtain a license before they can sell 23 types of chip making equipment to China. Tokyo announced the controls in May, prompting the state-backed China Semiconductor Industry Association to warn of countermeasures.

With the leading manufacturer of photolithography equipment used in the semiconductor manufacturing process facing such a hurdle, it might potentially put many of its suppliers on alert. They include players in optical components, such as lenses and mirrors, extreme ultraviolet (EUV) light sources, and laser technologies.

 

Related Stories:

 

 

TD Holdings In Strategic Agreement to Develop Energy Storage Battery Project In Southeast Asia
Ayala Joins Forces With BYD On Charging Stations In Philippines
Indonesia To Rule The Oil Refinery Arena
Hyundai To Launch More EVs In India — Betting Big On Its Market
Pressure Vessels And High-Tech Rolling Solutions For Oil & Gas Industry
Battery Recycling Firms To Build New Plants To Cope With EV Population Growth
Tesla Leverages Malaysia Tariff Liftings For A Later Grand Entrance
A*STAR Leads R&D Programme With 10 Industry Partners To Develop High-Performance EV Components
HP To Shift PC Production To Thailand To Reduce China Reliance

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook,Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post ASML Started Limiting Chip Gear Exports To China appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Chipmakers Warn That The Chip Boom Is Over—And Manufacturers’ Frantic Stockpiling Is Partly To Blame https://www.equipment-news.com/tsmc-and-chipmakers-warn-that-the-chip-boom-is-over-and-manufacturers-frantic-stockpiling-is-partly-to-blame/ Mon, 18 Jul 2022 04:00:51 +0000 https://www.equipment-news.com/?p=26530 Global chipmaker shares jumped on Thursday after Taiwan Semiconductor Manufacturing Corporation (TSMC) reported a record 76.4 percent increase in second-quarter profit year on year. The Philadelphia Semiconductor Index, which tracks major global chipmakers like Intel Corporation, Qualcomm, ASML Holding, and Nvidia Corporation, as well as TSMC, rose by 1.9…

The post Chipmakers Warn That The Chip Boom Is Over—And Manufacturers’ Frantic Stockpiling Is Partly To Blame appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Global chipmaker shares jumped on Thursday after Taiwan Semiconductor Manufacturing Corporation (TSMC) reported a record 76.4 percent increase in second-quarter profit year on year. The Philadelphia Semiconductor Index, which tracks major global chipmakers like Intel Corporation, QualcommASML Holding, and Nvidia Corporation, as well as TSMC, rose by 1.9 percent.
Source: Fortune.com

But TSMC, along with other chipmakers, is warning that weakened consumer demand and over-stockpiling by manufacturers and retailers may soon mark the end of record profits for the industry.

Chipmakers’ fortunes rose in the early days of the pandemic as fresh demand for electronics from stuck-at-home consumers and reduced supply due to manufacturing and logistics snags made the semiconductor one of the hottest products on planet Earth. Amid the supply crunch, business up and down the chip value chain raced to stockpile chips so they could better handle any further disruptions.

In its earnings call, TSMC warned that its customers might now draw on those chip reserves rather than place new orders. “Our expectation is for the excessive inventory in the semiconductor supply chain to take a few quarters to rebalance to a healthier level,” said TSMC CEO C.C. Wei.

TSMC also said it would trim its capital expenditure for 2022 to US$40 billion, down from US$44 billion, as it pushed the delivery of some chipmaking equipment to next year.

Bloomberg reported that South Korean chipmaker SK Hynix is also considering reducing its 2023 capital expenses by 25 percent. The Korean chipmaker is concerned about softening demand for consumer electronics heading into next year, unidentified sources told Bloomberg. 

On 7 July 2022, Samsung reported a small quarter-on-quarter decrease in profit, which analysts say is an indication that consumer electronics demand is softening heading into the second half of the year. Samsung has 50 million unsold smartphones in its inventory, reports Korean outlet The Elec, or about 18 percent of the total units the company hopes to ship this year. A normal inventory level would be around 10 percent, The Elec notes.

It’s not just Asian chipmakers that are forecasting a softening in the market. On June 7, Intel CFO David Zinsner said that he expected the U.S. chipmaker’s second-quarter earnings to take a hit due to customers working through stockpiled inventory instead of placing new orders. (Intel will announce its second-quarter earnings on July 28). Micron Technologies also reduced its revenue guidance to US$7.2 billion, below consensus estimates of US$9 billion, due to weakening smartphone demand.

TSMC still expects 2022 to be a good year, projecting annual revenue of US$19.8 billion to US$20.6 billion that will surpass estimates of US$18.5 billion. Wei said he expects demand for consumer electronics, like smartphones and computers, to fall amid a looming global economic slowdown but believes the chipmaker could pivot to still-strong sectors like data centers and cars.

Demand for electronics is softening faster than manufacturers expected, leading companies to clear out their stockpiles rather than order new chips or chip components. PC shipments in Q2 2022 fell by 12.6 percent year on year, according to consulting firm Gartner. Some computer manufacturers are even saying the chip shortage is over, with Acer CEO Jason Chen telling reporters on Wednesday that “the situation has changed,” and that chip suppliers are calling him directly to ask him “to buy more chips from them.”

The crypto crash could be another drag on the chip market, as crypto miners close up shop. Shipments of graphics cards—high-end processors that produce computer imagery and are used in crypto mining—fell 19 percent in the first quarter of 2022, notes consulting firm Jon Peddie Research.

Individual companies may still experience supply-chain disruptions. In April, Apple warned that supply problems may dent its June revenue by US$8 billion. And other major consumer electronics manufacturers, like Sony and Nintendo, are reporting lower-than-expected production due to supply constraints.

What You Missed:

Micron: ‘It Could Take a Few Quarters For Growth To Re-Emerge’ In Semiconductors, Analyst Says
Region’s First 3D-Printed Home Completed And Sold In South Richmond
Cybersecurity Experts On High Alert With Extremely Hazardous Black Basta Malware
Concept Car AKXY2 Has A Boat-Shaped Bubble And Picnic Area
The Internet of Things: Are We Living Through The Next Tech Revolution?
Saccade Vision Uses Mems-Based Cameras To Improve Measuring And Quality Control
Order Cancellations Strike, 8-Inch Fab Capacity Utilisation Rate Declines Most In 2H22, Says TrendForce
Struggling Industries’ Bid To Hire Young Talent To Fill Jobs With $80k Salaries
Harvard Scientists Develop A Method To Restore Damaged Tendons And Muscles
Bosch Ready To Spend US$3 Billion To Help Solve Chip Demand

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post Chipmakers Warn That The Chip Boom Is Over—And Manufacturers’ Frantic Stockpiling Is Partly To Blame appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Computer Chip Giant Places Big Bets On A Tiny Future https://www.equipment-news.com/computer-chip-giant-places-big-bets-on-a-tiny-future/ Mon, 23 May 2022 04:56:59 +0000 https://www.equipment-news.com/?p=25681 VELDHOVEN, Netherlands, (Reuters) – ASML (ASML.AS), a semiconductor industry and stock market giant, has to think smaller. Or maybe bigger. Reporting by Toby Sterling; Editing by Pravin Char It is building machines the size of double-decker buses, weighing over 200…

The post Computer Chip Giant Places Big Bets On A Tiny Future appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
VELDHOVEN, Netherlands, (Reuters) – ASML (ASML.AS), a semiconductor industry and stock market giant, has to think smaller. Or maybe bigger.

Reporting by Toby Sterling; Editing by Pravin Char

It is building machines the size of double-decker buses, weighing over 200 tonnes, in its quest to produce beams of focused light that create the microscopic circuitry on computer chips used in everything from phones and laptops to cars and AI.

The company has enjoyed a rosy decade, its shares leaping 1,000% to take its value past 200 billion euros as it swept up most of the world’s business for these lithography systems.

It’s now preparing to roll out a new $400 million machine for next-generation chips which it hopes will be its flagship by the late 2020s but for now remains an engineering challenge.

Executives at ASML’s headquarters in the Dutch town of Veldhoven told Reuters a prototype was on track to be completed in the first half of 2023. They said the company and longtime R&D partner IMEC were setting up a test lab on the spot – a first – so top chipmakers and their suppliers can explore the machine’s properties and prepare to use production models as early as 2025.

Yet, as investors expect further dominance and growth to justify ASML’s valuation at 35 times 2021 earnings, there is little margin for error should the company encounter technical or supply-chain snags.

“Every check is green right now,” said Christophe Fouquet, head of EUV programs at ASML. “But, you know, we still have to see it all (assembled) together.”

EUV stands for extreme ultraviolet, the wavelength of light used by ASML’s most advanced machines.

The post Computer Chip Giant Places Big Bets On A Tiny Future appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>