TSMC – Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control https://www.equipment-news.com As Asia’s number one English metalworking magazine, Asia Pacific Metalworking Equipment News (APMEN) is a must-read for professionals in the automotive, aerospace, die & mould, oil & gas, electrical & electronics and medical engineering industries. Fri, 24 May 2024 00:41:06 +0000 en-US hourly 1 https://wordpress.org/?v=6.5.3 TSMC Sees Annual Sales Growth To Reach 10% In Semiconductor Industry https://www.equipment-news.com/tsmc-sees-annual-sales-growth-to-reach-10-in-semiconductor-industry/ https://www.equipment-news.com/tsmc-sees-annual-sales-growth-to-reach-10-in-semiconductor-industry/#comments_reply Fri, 24 May 2024 00:00:17 +0000 https://www.equipment-news.com/?p=33157 TSMC, a major supplier to Apple and Nvidia, forecasted an annual revenue growth of 10% in the global semiconductor industry, excluding memory chips. Source: Reuters Taiwanese major chip supplier, TSMC forecasted an annual revenue growth of 10% in the global…

The post TSMC Sees Annual Sales Growth To Reach 10% In Semiconductor Industry appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
TSMC, a major supplier to Apple and Nvidia, forecasted an annual revenue growth of 10% in the global semiconductor industry, excluding memory chips.

Source: Reuters


Taiwanese major chip supplier, TSMC forecasted an annual revenue growth of 10% in the global semiconductor industry, excluding memory chips.

“This is a new golden age of opportunity with AI,” said senior vice-president Cliff Hou, who was speaking at an event in Hsinchu, where the company is headquartered.

In April, TSMC lowered its outlook for the global semiconductor industry excluding memory to a growth rate of around 10% from a previous forecast of more than 10%. World Semiconductor Trade Statistics has forecast growth of 13.1% for the global semiconductor market in 2024.

TSMC has estimated second-quarter sales may rise as much as 30% as it rides a wave of demand for semiconductors used in artificial intelligence applications (AI). Nvidia forecasted its quarterly revenue above estimates and announced a stock split, lifting shares to a record-high territory and impressing investors who have tripled the chipmaker’s market value in the past year on AI optimism.

 

 

 

 

What You Missed:

 

 

Vietnam Versus Malaysia For Semiconductor Design Hub Crown
Vietnam Sluggish Auto Sales Hit Major Motorshow
Motional Flies Solo After Aptiv’s Curtain Call
Tesla Fired Its Supercharger Division – A Shocking Yet Brilliant Move
Tesla Profits Decline By More Than 50% In Q1 2024
Tesla Reduces Full Self-Driving Software Price To US$8,000
Researchers Turn Metal Waste Into Catalyst For Hydrogen
Is AI Is Looking More Like A Band Aid Now?
Rever Automotive Builds And Tests BYD Buses In Thailand
Tesla Cuts More Than 10% Of Its Workforce

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post TSMC Sees Annual Sales Growth To Reach 10% In Semiconductor Industry appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
https://www.equipment-news.com/tsmc-sees-annual-sales-growth-to-reach-10-in-semiconductor-industry/feed/ 0
ASML Secret Sauce For Semiconductor Success Amid Challenges In The Angstrom Era https://www.equipment-news.com/asml-secret-sauce-for-semiconductor-success-amid-challenges-in-the-angstrom-era/ https://www.equipment-news.com/asml-secret-sauce-for-semiconductor-success-amid-challenges-in-the-angstrom-era/#comments_reply Thu, 23 May 2024 04:08:32 +0000 https://www.equipment-news.com/?p=33096 Moore’s Law is not advancing as fast as it used to be under 2nm and even into the Angstrom level, and competitors are trying to catch up. Can ASML continue its monopolistic leadership in the extreme ultraviolet (EUV) lithography equipment…

The post ASML Secret Sauce For Semiconductor Success Amid Challenges In The Angstrom Era appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Moore’s Law is not advancing as fast as it used to be under 2nm and even into the Angstrom level, and competitors are trying to catch up. Can ASML continue its monopolistic leadership in the extreme ultraviolet (EUV) lithography equipment market?

Source: DIGITimes


Will ASML be affected with the geopolitical uncertainties in the future, given the world’s most advanced high-NA EUV machines is touted to be the ultimate weapon for a technological leapfrog for its customers. 

  1. Dan Hutcheson, vice chair of TechInsights, who has covered ASML since the 1970s, when it was still a subsidiary of Philips, and Marc Hijink, the author of Focus – the ASML Way and a reporter at Dutch newspaper NRC, joined DIGITIMES Asia for an insightful talk to address these questions.

Navigating Geopolitical Uncertainties

Although ASML and other semiconductor equipment providers benefit from the demand driven by export controls imposed by the United States to China, geopolitics may have long-lasting implications on the industry as extra capacities and ecosystems are built.

Hutcheson and Hijink see ASML following their customers’ footsteps to decentralise their productions from the Western Pacific corridor to the United States and Europe. Still, the efficiency of its customers’ fab operations may be a concern.

“We’re in a new world where the utilization of the tools is lower,” said Hutcheson, “The problem is, if you can’t find the workers to run the tools or to repair them, keep them running, your wafer fab is useless.”

Hijink observed that Asia will remain an important center for chip production in the future despite the onshoring efforts of various countries and the talent shortage issue since South Korea and Taiwan remain an important part of ASML’s expansion.

ASML’s Monopoly Status Unlikely To Be Challenged

Yet, Hijink cautioned, “ASML’s biggest fear is that the current restrictions on Chinese technology might even stimulate it in the long term and create an even bigger problem.” He pointed out that the less China gets access to these Western lithography tools, the more the Chinese companies are inclined to build their own. “Even though they might not be able to compete with ASML and Nikon now, you see more room for growth and R&D money in the long term.”

Yet, Hutcheson believes no company can challenge ASML lithography monopoly without massive government subsidies.

“Five decades ago, I developed this maxim that the number of unsubsidised competitors a market can sustain is equal to 1/5 the total market size divided by the cost to develop a new generation of technology, and then you subtract 1.5 times that cost,” said Hutcheson. “To attract another competitor in the market, the risk bar must be low enough that there’s enough available R&D to support the development of at least 1.5 more tools than what exists in the market at that time.”

Over those five decades, that maximum is held across 100, or there have been more sub-markets of semiconductor equipment, and that explains the market consolidation of the market from more than 20 semiconductor equipment makers in the 1980s to just a handful right now for lithography, explained Hutcheson.

As a semiconductor industry veteran, Hutcheson witnessed how ASML survived over the past four decades of vicissitudes through better management and technological development. Hijink added that ASML survived because it out-invested in R&D during the low cycles despite being on the brink of bankruptcy several times and cleverly invited its customers TSMC, Intel, and Samsung to invest in it and co-develop the EUV machine.

By getting the investments, ASML managed to get the money to acquire Cymer, the light source company based in San Diego, and establish a strategic alliance with ZEISS, the German lens maker. Those key components and e-beam technology created ASML’s secret sauce of success that competitors cannot copy.

However, repeating such success is getting harder.

“No single company can afford to do it alone, as R&D becomes more and more expensive,” Hutcheson quoted John Chen of Nvidia, who was the first R&D president at TSMC, that,” IC no longer means integrated circuit going forward, IC means industry collaboration.”

Hutcheson estimates that the cost of developing a new EUV tool from scratch would require multiple trillions of dollars, which is equal to the Gross Domestic Product for some countries, and that’s assuming that one gets around all the IP barriers in place. “To some extent, it levels the geopolitical playing field. So maybe EUV should receive the Nobel Peace Prize because no country can attack any other country unless they have the EUV,” quipped Hutcheson.

Can High-NA Machines Help Technology Leapfrog?

As Intel, Samsung, and TSMC are aiming to mass produce 2nm chips in the next few years and advance towards 1.4-1.6 nm, the ASML’s high-NA EUVs are making the headlines of semiconductor industry newspapers.

As always, ASML ships the US$300 million High-NA machines to customers according to the timetable agreed with customers and does the laborious adjusting and error corrections with customers. The media has been describing high-NA EUVs as the key for technology catch-uppers to leapfrog the incumbent. However, Hutcheson delved deeply into the intricacies involved in the complex processes of semiconductor manufacturing beyond lithography.

“There’s a lot of technology issues in the 2nm and 1.4nm era. And we will have to see if they can pull it off and turn it into an advantage,” Hutcheson explained that lithography is not the only factor at stake, there are also issues with the gate-all-around (GAA) structures, due to the leakage problems with that. “There’s also reliability problems, and the epitaxial layer is pretty poor. So really, there are more material and systems engineering problems than lithography problems.”

Though Intel has seemingly bought up all available high-NA machines for the year, TSMC is not in a hurry to apply it to its 1.4-1.6nm process. However, ASML said all of their customers who have bought EUVs are going to upgrade to High-NA EUVs.

“I think that Intel has to do more, try to leapfrog TSMC, which is a daring move, but that’s just one part of Intel’s challenge,” said Hijink. “Their biggest challenge is not the technology, but the fact that they want to do foundry business because they have to create enough wafer footprint or enough scale to be economically viable with huge competitors like Samsung and TSMC. So that adds to the problem of adopting a new technology where they’re not as experienced.”

Hutcheson cautioned that it is too early to judge the outcome of technology competitions. Yet seeing from the history of the semiconductors industry, decades of research, blood, sweat, and tears, and fixing tonnes of errors, plus making the right decisions at the right timing and building deep trust with customers made ASML and TSMC what they are today.

ASML, a blend of European, Asian, and American cultures as the result of acquisitions, can be a great teacher for TSMC, which is just starting to expand its footprints to the US and Europe. With such a close bond between the two, with AI being the main driving force for semiconductor’s future growth, one can be sure there will not be short of fascinating stories in the future.

Editor’s note: For more insights and analysis from Hutcheson and Hijink on the industry, watch DIGITIMES Asia’s new GeoWatch video, ‘Decoding Excellence: ASML’s Evolution Towards Leadership and Beyond.’ Click the link here to access this informative video: https://dgt.ms/geowatch0516

 

What You Missed:

 

 

AI Has Crept Its Way Into Aerial Combat
Tesla Profits Decline By More Than 50% In Q1 2024
Tesla Reduces Full Self-Driving Software Price To US$8,000
Researchers Turn Metal Waste Into Catalyst For Hydrogen
Is AI Is Looking More Like A Band Aid Now?
Rever Automotive Builds And Tests BYD Buses In Thailand
Tesla Cuts More Than 10% Of Its Workforce
Tesla Settling Lawsuit Erodes Faith In Autonomous Driving
IoT Offers A Wealth Of Opportunities
High Household Debt In Dips Thailand Automotive Production And Sales

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

 

The post ASML Secret Sauce For Semiconductor Success Amid Challenges In The Angstrom Era appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
https://www.equipment-news.com/asml-secret-sauce-for-semiconductor-success-amid-challenges-in-the-angstrom-era/feed/ 0
An Opportunity For Korean Semiconductor Players? https://www.equipment-news.com/an-opportunity-for-korean-semiconductor-players/ Mon, 08 Apr 2024 03:40:06 +0000 https://www.equipment-news.com/?p=32702 The recent 7.4 magnitude earthquake that struck Taiwan seemed to have spelt an opportunity for Korea to catch up on the semiconductor race.  The world leader in semiconductor has a recorded 60% market share by Counterpoint Research. Its close rival…

The post An Opportunity For Korean Semiconductor Players? appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
The recent 7.4 magnitude earthquake that struck Taiwan seemed to have spelt an opportunity for Korea to catch up on the semiconductor race. 


The world leader in semiconductor has a recorded 60% market share by Counterpoint Research. Its close rival Samsung trails behind by 13%. TSMC is known for serving Apple, Nvidia and Qualcomm for iphones, mobile chipsets respectively.

It is also the main supplier for Artificial Intelligence (AI) chips for Nvidia, and Advanced Micro Devices’ (AMD) processors. It even has clients in the Electric Vehicle (EV) sector, working with Tesla and Toyota.

The recent quake reportedly disrupted TSMC’s production as personnel had to evacuate and paused operations. Wall Street Journal quoted the company’s admitting the tremor did cause some machinery to be out of commission, and extreme ultraviolet lithography tools by ASML were unscathed. It added construction work resumed following inspection as at 4 April 2024.

Korea May Take the Spotlight

Bum Ki Son and Brian Tan, analysts at British investment bank Barclays, said in a report:

“We believe this could lead to supply disruptions in the tech supply chain. While we note some companies have reported limited damage and many of the semiconductor fabs should have been designed to withstand strong earthquakes, halts in some operations at high-tech semiconductor fabs could mean disruptions.”

“Some of the high-end chips need 24/7 seamless operations in a vacuum state for a few weeks. Operation halts in Taiwan’s northern industrial areas could mean some high-end chips in production may be spoiled,” they added.

Kim Dae-jong, Professor of Business Administration at Sejong University, cautioned that global chipmakers need to diversify the semiconductor supply chain concentrated in Taiwan to reduce risks. Professor Kim noted,

“Chip companies need to reduce their dependency on Taiwan and diversify their orders to other countries to lower the risk when facing a special crisis like this earthquake. Chip design companies should diversify their non-memory demand to Taiwan, Korea and the U.S. as a way to prepare for crises.”

The professor added the earthquake has also hiked the prices of memory semiconductors, indicating Samsung and SK hynix have reportedly halted memory chip price negotiations with clients because the supply of memory chips will decrease, leading to higher prices.

Another Group With Mixed Opinions

KB Securities advocated the importance of diversifying supply vendors. Kim Dong-won, KB’s analyst said,

“The production disruption in foundries caused by this earthquake is poised to serve as a pivotal moment, shedding light on the industry’s structure. With 69% of global foundry production concentrated in Taiwan, it underscores the risk associated with relying on a single supply chain.

TSMC’s Fab12 plant, where all production personnel evacuated following the earthquake, is encountering operational uncertainty due to damaged water pipes and system errors in certain front-end equipment. As a result, further inspections are necessary to assess the situation. The Korean semiconductor ecosystem is emerging as the optimal alternative for diversifying the memory and foundry supply chain, with long-term benefits expected.”

Lee Jong-hwan, Professor from Department of System Semiconductor Engineering at Sangmyung University commented is too quick to assume TSMC would suffer damage from Taiwan earthquake’s aftermaths. Professor Lee noted, the tremor is not likely to have a substantial impact on the foundry industry’s market share. This is because the foundry industry has long-term contracts with chip design companies, and it is difficult to suddenly change the order and design of the chips to be produced.

“The foundry business needs to supply products tailored to the design requirements of chip design companies. Stable supply is key in maintaining long-term cooperative relationships with customers, so it is difficult for TSMC to lose its customers just because of the earthquake damage,” said Professor Lee.

Nonetheless, he projected the memory semiconductor industry, Samsung and SK could benefit from the earthquake as the industry has a different structure. 

“The memory semiconductor industry may be affected by the earthquake. As production facilities of Micron Technology and Nanya Technology were reported to have suffered damage, rival memory semiconductor companies, Samsung Electronics and SK hynix, are likely to benefit from the market where chip production and supply are more directly connected,” Professor Lee added.

 

 

 

 

What You Missed:

 

 

IoT Offers A Wealth Of Opportunities
High Household Debt In Dips Thailand Automotive Production And Sales
AST Begins Constructing Singapore Facility For High-End Substrates & Advanced Technologies
eVTOL Aircrafts May Dominate The Skies
Get To Zhuhai From Shenzhen In 20 Minutes With AutoFlight
Wire 2024 in Düsseldorf: Electrifying Prospects for Cables
Cover Focus – Milling with “Xtended Technology” – Xtra·tec® XT
wire and Tube 2024 To Set New Standards
Elon Musk Announces First Neuralink Wireless Brain Chip Implant
IMF: AI To Hit 40% Of Jobs And Worsen Inequality

 

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post An Opportunity For Korean Semiconductor Players? appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
ASML Unveils Latest High-NA Extreme Ultraviolet (EUV) System https://www.equipment-news.com/asml-unveils-latest-high-na-extreme-ultraviolet-euv-system/ Fri, 16 Feb 2024 02:55:42 +0000 https://www.equipment-news.com/?p=32325 ASML Holding NV showcased its latest chipmaking machine, a €350 million (US$380 million) piece of equipment that weighs as much as two Airbus A320s, Bloomberg reported. Media outlets got a look of the ASML High-NA extreme ultraviolet (EUV) system. Intel Corp…

The post ASML Unveils Latest High-NA Extreme Ultraviolet (EUV) System appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
ASML Holding NV showcased its latest chipmaking machine, a €350 million (US$380 million) piece of equipment that weighs as much as two Airbus A320s, Bloomberg reported.


Media outlets got a look of the ASML High-NA extreme ultraviolet (EUV) system. Intel Corp has placed orders and got the first one shipped to a factory in Oregon in late December. The company plans to start making chips with it late next year.

ASML’s machine can print lines on semiconductors 8 nanometers thick, 1.7 times smaller than the previous generation. The thinner the lines, the more transistors can be fitted on a chip, and the higher the processing speeds and memory.

ASML executives said the system will prove essential for artificial intelligence (AI), a technology notorious for the intensity of the processing it requires. AI will need “massive amounts of computing power and data storage. I think without ASML, without our technology, that’s not going to happen,” CEO Peter Wennink told Bloomberg. “It’s going to be a big driver for our business.”

ASML produces equipment needed to make the most sophisticated semiconductors, and demand for its products is a bellwether for the industry’s health. The Dutch company received record orders for its extreme ultraviolet (EUV) lithography machines in Q4 2023, showing optimism among the biggest customers for the technology, including Intel, Samsung Electronics Company and TSMC.

Installation of the first 150,000kg system required 250 crates, 250 engineers and six months to complete, ASML spokesperson Monique Mols said. The rise of generative AI over the past year, catalysed by OpenAI’s ChatGPT launch late 2022, has boosted expectations for semiconductor companies across the board. The so-called low-NA EUV machines, which ASML has been selling since 2018 cost €170 million.

 

 

 

 

What You Missed:

 

 

Japan Sinks Into Recession, Surprising Many Economists
Changi Airport Tests Aurrigo Autonomous Baggage Handling Vehicle
Spirit To Ramp Up Robotics To Reduce 737 Fuselage Problems
Tesla Recalls 2 Million Cars Over Brake System Warning Light
Robotaxi Firm Motional Loses Funding From Aptiv
DJI’s Releases First Delivery Drone, DJI FlyCart 30 (FC30)
Tesla Dethroned By BYD As World’s Best Selling EV Maker
Quang Ninh Industrial Zones Face Electricity Shortage
Siemens And Intel To Collaborate On Advanced Semiconductor Manufacturing
Universal Robots Launches 30 Kg Cobot
Charlie Munger, The Man Who Saw BYD’s Potential Passes At 99

 

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post ASML Unveils Latest High-NA Extreme Ultraviolet (EUV) System appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
TSMC To Build Second Japan Chip Factory, Raising Investment To US$20 Billion https://www.equipment-news.com/tsmc-to-build-second-japan-chip-factory-raising-investment-to-us20-billion/ Wed, 07 Feb 2024 07:43:35 +0000 https://www.equipment-news.com/?p=32178 Taiwan Semiconductor Manufacturing Company (TSMC) announced on 6 February it will be building a second Japanese plant to begin operation by the end of 2027. Source: Reuters TSMC’s new plant brings a total investment in its Japan venture to more…

The post TSMC To Build Second Japan Chip Factory, Raising Investment To US$20 Billion appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Taiwan Semiconductor Manufacturing Company (TSMC) announced on 6 February it will be building a second Japanese plant to begin operation by the end of 2027.
Source: Reuters

TSMC’s new plant brings a total investment in its Japan venture to more than US$20 billion with the support of the Tokyo government. The Taiwanese chip titan announced plans in 2021 to build a US$7 billion chip plant in Kumamoto in southern Japan’s Kyushu.

The company said the first Japanese factory would open in February 2024 with volume production in the Q4 2024. TSMC was also exploring building a second factory in the country.

In a statement, TSMC said its majority-owned unit Japan Advanced Semiconductor Manufacturing in Kumamoto would build a second fabrication plant, or fab, in response to rising customer demand. TSMC added the second fab will begin construction by the end 2024. With both factories, the site is expected to have total monthly capacity of more than 100,000 12-inch wafers to be used for automotive, industrial, consumer and high performance computing-related applications.

The capacity plan may be further adjusted based upon customer demand, it noted. TSMC is a major supplier to companies including Apple and Nvidia, holds an 86.5% stake in the Japanese venture, with Sony Group 6%, auto parts maker Denso 5.5% and carmaker Toyota with 2%.

TSMC’s expansion in Kyushu is central to the Japanese government’s efforts to rebuild the country’s position as a leading chip manufacturing centre and ensure the stable supply of chips amid trade tensions between the United States and China. The decision to build a second fab is a vote of confidence by TSMC in Japan where construction of the first fab has run smoothly and which, it sees as a source of diligent workers with a government that is easy to deal with.

Japan’s chip-making sector, the world’s biggest in the 1980s, struggled to maintain its competitive edge, going into a steady decline in the past three decades, while rivals such as Taiwanese manufacturers gained ground. While both TSMC and the Taiwan government said the majority of the company’s most advanced manufacturing would continue to take place in Taiwan, TSMC has been expanding its global manufacturing footprint in response to what it says is customer demand.

TSMC’s flagship overseas investment is a US$40 billion project to build two fabs in Arizona, supporting Washington’s plans to boost U.S. chipmaking capacity. TSMC is also planning its first European factory, in Germany, which will mainly serve the auto industry.

TSMC’s Taipei-listed stock has risen 8.9% so far this year on the back of a boom in demand for chips for artificial intelligence applications, outperforming a 0.9% gain for the broader index.

 

 

 

 

 

 

What You Missed:

 

 

wire and Tube 2024 To Set New Standards
Elon Musk Announces First Neuralink Wireless Brain Chip Implant
IMF: AI To Hit 40% Of Jobs And Worsen Inequality
GM’s Cruise Faces Federal Investigations After Accident Involving Pedestrian
AI Chatbot Disabled After Writing A Self-Scathing Poem About Company
ALI Technologies’ Flying Bike Fails To Take Off
Metal Prices To Ease With Softening Demand According To World Bank
Hyundai Mobis Unveils MOBION Featuring e-Corner System That Enables Sideway Movement
CES 2024 Showcases Latest Innovations In AI, Sustainability And Mobility
Tesla Dethroned By BYD As World’s Best Selling EV Maker
Quang Ninh Industrial Zones Face Electricity Shortage

 

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post TSMC To Build Second Japan Chip Factory, Raising Investment To US$20 Billion appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Warren Buffett’s Berkshire Hathaway Gives Up TSMC Over Geopolitical Concerns https://www.equipment-news.com/warren-buffetts-berkshire-hathaway-gives-up-tsmc-over-geopolitical-concerns/ Wed, 17 May 2023 08:54:17 +0000 https://www.equipment-news.com/?p=29704 Warren Buffett’s conglomerate has sold its remaining shares in the world’s largest chipmaker, TSMC, after the “Oracle of Omaha” sounded alarms about its homebase of Taiwan. It was nothing more than geopolitical concerns. Source: CNN Berkshire Hathaway disclosed that it…

The post Warren Buffett’s Berkshire Hathaway Gives Up TSMC Over Geopolitical Concerns appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Warren Buffett’s conglomerate has sold its remaining shares in the world’s largest chipmaker, TSMC, after the “Oracle of Omaha” sounded alarms about its homebase of Taiwan. It was nothing more than geopolitical concerns.
Source: CNN

Berkshire Hathaway disclosed that it was no longer holding a stake in Taiwan Semiconductor Manufacturing Company as of the end of the first quarter. In recent weeks, Buffett had repeatedly expressed concerns over the future of Taiwan, the self-governed democratic island where TSMC is based. China’s Communist leadership has long claimed Taiwan as part of its territory, despite having never ruled over it.

Despite the share sale, Buffett lauded TSMC as “one of the best-managed companies and [most] important companies in the world.”

“There’s no one in the chip industry that’s in their league, at least in my view,” he said. “Marvelous people and marvelous competitive position and everything, [but] I’d rather find it in the United States.”

Buffett said his reassessment of the company was in “light of certain things that were going on.” He had previously pointed to geopolitical tensions as a concern.

‘Silicon Shield’

TSMC is considered a national treasure in Taiwan, supplying semiconductors to global tech giants including Apple and Qualcomm. It mass produces the most advanced semiconductors in the world, components that are vital to the smooth running of everything from smartphones to washing machines.

The firm is the world’s largest chip manufacturer, according to Gartner. It’s also one of the world’s most valuable listed companies, with a market capitalisation of NT12.8 trillion (approximately US$415.3 billion) as of 16 May 2023.

TSMC’s presence is seen as providing a strong incentive to the West to defend Taiwan against any attempt by China to take it by force. The company is perceived as being so valuable to the global economy, as well as to China, that it is sometimes even referred to as forming part of a “silicon shield” against a potential military invasion by Beijing.

While TSMC is expanding overseas in countries including the United States, it’s also growing its footprint back home in Taiwan, where it plans to add more than 6,000 jobs this year. As Berkshire Hathaway revealed its withdrawal, other prominent investors made bets on the stock.

According to a regulatory filing, Macquarie has increased its stake in TSMC, while Tiger Global has also bought inTSMC stock rose 2% Tuesday in Taipei, while its US-listed shares slipped 0.5% in after-hours trading in New York.

Related Stories:

 

 

 

Semiconductor Shortage In The Automotive Industry
Japanese Semiconductor Equipment Makers Hit By Export Controls
Samsung To Produce Semiconductor Parts In Vietnam In 2023

Samsung May Have A Semiconductor Factory In Vietnam
India To Ready Its Semiconductor Fab Facilities

Samsung Envisions Hyper-Growth in Memory and Logic Semiconductors Through Intensified Industry Collaborations
Semiconductor Value Chains Against The Backdrop Of Recent Global Development In ASEAN
TSMC Vs Intel: Blue-Chip Semiconductor Giant Is The Better Turnaround Play?
China’s Semiconductor Sector Faces Lacklustre Demand For Low-End Chips
US Chips Act Serves No One

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post Warren Buffett’s Berkshire Hathaway Gives Up TSMC Over Geopolitical Concerns appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
TSMC Founder Supports US Efforts To Slow China Chip Advances https://www.equipment-news.com/tsmc-founder-supports-us-efforts-to-slow-chinas-chip-advances/ Fri, 17 Mar 2023 00:00:58 +0000 https://www.equipment-news.com/?p=28842 TSMC Founder Morris Chang said that even as he supported U.S. efforts to slow China chip advances, the “bifurcation” of the global supply chain and the reversal of globalisation would increase prices and reduce the ubiquity of chips that power…

The post TSMC Founder Supports US Efforts To Slow China Chip Advances appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
TSMC Founder Morris Chang said that even as he supported U.S. efforts to slow China chip advances, the “bifurcation” of the global supply chain and the reversal of globalisation would increase prices and reduce the ubiquity of chips that power the modern world.

Source: Reuters


“There’s no question in my mind that, in the chip sector, globalisation is dead. Free trade is not quite that dead, but it’s in danger,” Morris Chang from TSMC said, speaking at an event hosted by Taiwan’s CommonWealth Magazine. “When the costs go up, the pervasiveness of chips will either stop or slow down considerably,” said Chang, who at 91 remains an influential voice in Taiwan’s chip industry. “We are going to be in a different game.”

In Taiwan, TSMC, Asia’s most valuable listed company and a major Apple Inc supplier, is widely regarded as the “sacred mountain protecting the country,” because of its economic importance.

China has in recent years ramped up diplomatic and military pressure against Taiwan, which Beijing views as its territory, raising concerns about the fate of the chip fabs that dot Taiwan’s western coast and produce the majority of the world’s most advanced chips if China blockades or attacks the island.

U.S. “onshoring” and “friendshoring” efforts to boost chip manufacturing stateside or in allied countries present a predicament for Taiwan.

“Friendshore does not include Taiwan. In fact, the commerce secretary has said repeatedly that Taiwan is a very dangerous place, we cannot – America cannot – rely on Taiwan for chips,” Chang of TSMC said. “Now that, of course, is I think Taiwan’s dilemma.”

TSMC is expanding its global production footprint, even as it keeps its most advanced technology in Taiwan. Late last year, TSMC began construction of a second chip factory in Arizona which will start production in 2026, using advanced 3 nm technology. The company’s total investment in the U.S. project amounts to US$40 billion.

Meanwhile, the Chinese government is plowing billions into bolstering its chip sector, but Chang said China’s chip manufacturing technology lags that of Taiwan by “at least five or six years”.

 

What You Missed:

  

Vietnam’s VinFast Recalls Nearly 2,800 VF8 SUV
A Prelude To An EV Price War?
BYD Announces Plan To Cease Using Hexavalent Chromium
Electric Car Featuring Sodium Ion Battery Unveiled
Tube China 2023 Set To Converge Big Players
Vietnam Exports Robotic Surgery Techniques To The Philippines
Fastener Fair Global 2023 Testifies Importance Of The International Fastener And Fixing Sector

Lithium Price Drop Could Boost Electric Vehicle Sales
TIMTOS 2023 Attracts Global Attention At Opening
Taiwan Takisawa Marks 50 Years At TIMTOS 2023 With Green Smart Machinery

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post TSMC Founder Supports US Efforts To Slow China Chip Advances appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
TSMC New US Fab May Wind Up With More Issues https://www.equipment-news.com/tsmc-new-us-fab-may-wind-up-with-more-issues/ Tue, 14 Mar 2023 07:41:05 +0000 https://www.equipment-news.com/?p=28768 In the recent months, the industry has witnessed how big guns are reducing prices to mitigate losses. TSMC’s entry into the country may not be a great idea after all. Source: DigiTimes Modern technology is powered by chips — from…

The post TSMC New US Fab May Wind Up With More Issues appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
In the recent months, the industry has witnessed how big guns are reducing prices to mitigate losses. TSMC’s entry into the country may not be a great idea after all.

Source: DigiTimes


Modern technology is powered by chips — from smartphones to automotive and medical equipment. United States is known to be a major consumer. DigiTimes reported TSMC could hardly achieve profitable mass production of 5/4/3nm chips at its new plant under construction in the US, and will find it a tough challenge to transfer part of its huge construction costs to customers, according to semiconductor equipment supply chain sources.

The overall construction and equipment installation progress at TSMC’s new wafer fab in the southwestern state of Arizona reportedly have been delayed, while the foundry also has to tackle serious manpower shortages, soaring costs and emerging education and adaptation issues involving Taiwanese and foreign employees, the sources said.

For the already exorbitant 5/4/3nm chips, how to accurately calculate costs and profits in price negotiations with customers will be a significant challenge for TSMC, particularly under the inevitable increase in the cost of wafer production in the US, the sources stressed.

TSMC founder Morris Chang had repeatedly stressed that the US efforts to increase onshore manufacturing of semiconductors is wasteful and an expensive exercise in futility due to a lack of manufacturing talent and extremely high costs. He also noted that the cost of producing the same chip product in the US is 50 percent higher than in Taiwan, and no reason can be found for maintaining viable production in America.

Nevertheless, apparently bowing to geopolitical pressure from the US, TSMC had earlier announced volume production of its 5nm chips at the Arizona fab set to start in 2024 will be upgraded to 4nm chips, and it is also proceeding with second-phase construction at the same manufacturing complex, which is scheduled to commercialise 3nm chip production in 2026. Its total investments in both phases are estimated to top US$40 billion, marking the largest-ever direct foreign investment project.

Industry observers said apart from fulfilling orders from the US government for military defense and other specific chip demands, TSMC will have to start to adjust taking orders from American customers and maintain a regular capacity utilisation of at least 70-80 percent to avoid a serious drag on its overall profitability. The foundry will inevitably face the challenge of how to persuade clients to share part of its huge construction and manufacturing cost increases for the US plant.

The US Department of Commerce recently has started accepting applications for the US$39 billion chip production incentive program under its CHIPS Act, but has imposed a number of conditions, including that companies receiving the subsidies are required to share profits with the US government and that they cannot expand semiconductor production capacity in countries of concern within 10 years. Most importantly, the subsidies must be returned if the recipient fails to complete investment and construction as planned. The next question would be if TSMC would be able to reach the conditions in the program.

Industry sources remarked the conditions are quite unreasonable and will greatly compound production and operation predicaments for TSMC and other large semiconductor players already kicking off capacity expansions in the US, as well as their huge supply chain partners.

For TSMC, whose production expansion costs in the US are already higher than in Taiwan, it has no other choice but to pass part of the costs to the supply chain partners and customers, not to mention Intel and Samsung Electronics, which are less efficient than TSMC in fab construction and capacity expansion, the sources said.

Semiconductor equipment suppliers noted that based on its current progress of engineering and equipment installation, the new TSMC fab in the US will be unlikely to fully scale up production in 2024, and may be delayed to 2025. Meanwhile, Nvidia has announced it will place wafer starts at the new US fab, but TSMC will find limited room for further foundry quote increases now that it has enforced a 6 percent hike in 2023.

In response market doubts about its profitability prospects, TSMC has said confidently that it has the ability to absorb higher costs of overseas wafer fabs and will continue to provide customers with the most efficient and cost-effective manufacturing services no matter where its plants are located. The foundry is optimistic that even if production capacity is increased outside of Taiwan, a long-term gross margin of over 53 percent will remain achievable.

TSMC has yet to clearly reveal how it will absorb high extra costs for building its new US fab, equipment vendors said, adding that based on the past experiences, if the supply chain partners and clients cannot share the costs, TSMC’s profit growth momentum will fall short of market expectations, resulting in profit decreases.

 

What You Missed:

  

Vietnam’s VinFast Recalls Nearly 2,800 VF8 SUV
A Prelude To An EV Price War?
BYD Announces Plan To Cease Using Hexavalent Chromium
Electric Car Featuring Sodium Ion Battery Unveiled
Tube China 2023 Set To Converge Big Players
Vietnam Exports Robotic Surgery Techniques To The Philippines
Fastener Fair Global 2023 Testifies Importance Of The International Fastener And Fixing Sector

Lithium Price Drop Could Boost Electric Vehicle Sales
TIMTOS 2023 Attracts Global Attention At Opening
Taiwan Takisawa Marks 50 Years At TIMTOS 2023 With Green Smart Machinery

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

 

 

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post TSMC New US Fab May Wind Up With More Issues appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Vietnam Semiconductor Market Stands A Chance As Makers Avoid China https://www.equipment-news.com/vietnam-semiconductor-market-stands-a-chance-as-makers-avoid-china/ Thu, 15 Dec 2022 09:07:11 +0000 https://www.equipment-news.com/?p=27820 An article by CNBC quoted experts who felt the Biden’s China chip curbs are the latest in a series of upheavals prompting chipmakers to relocate production chains to neighbouring countries. It named Vietnam as one of the cost-efficient semiconductor manufacturing…

The post Vietnam Semiconductor Market Stands A Chance As Makers Avoid China appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
An article by CNBC quoted experts who felt the Biden’s China chip curbs are the latest in a series of upheavals prompting chipmakers to relocate production chains to neighbouring countries. It named Vietnam as one of the cost-efficient semiconductor manufacturing bases with lower levels of political challenges, despite others’ remaining hopeful of China.


U.S. curbs on chip exports to China are the latest shakeup prompting companies to consider moving some of their chipmaking capabilities to nearby Vietnam and India for semiconductor manufacturing. The number of recent queries to KPMG from clients and prospects about expanding chipmaking capabilities across Southeast Asia increased 30 — to 40 percent, compared to before the pandemic, said Walter Kuijpers, a Singapore-based partner at the professional services firm.

“Corporates are seeing merits in segregating supply chains rather than having a single point of reliance … Recent geopolitical developments are expected to accelerate these strategies that are already in motion,” said Kuijpers.

In October, the U.S. began requiring companies to obtain licenses to export advanced semiconductors or related manufacturing equipment to China. Those businesses also need Washington’s approval if they use American equipment to manufacture specific high-end chips for sale to China. That left semiconductor companies tried to find workarounds. The move to Vietnam for semiconductor manufacturing might have just been a “no-choice” situation.

Taiwanese chipmaking powerhouse TSMC and its South Korean rivals Samsung and SK Hynix reportedly obtained one-year waivers to continue sending American chipmaking equipment to their facilities in China. Dutch semiconductor toolmaker ASML said its staff in the U.S. are prohibited from providing certain services to advanced semiconductor fabrication plants, or fabs, in China.

As such, they would want to move somewhere nearby so that production and yields can be as efficient as possible, said Jan Nicholas, an executive director focusing on the semiconductor sector at Deloitte. He added Southeast Asia has become a natural choice for factories looking to relocate outside of China. Vietnam happens to be a location of choice for semiconductor manufacturing.

“When you’re making investment decisions that are that big, that have that long of a useful life for a factory, you tend to stay away from risky situations … the more uncertainty there is, the more that these companies will flee towards a greater certainty,” said Nicholas.

Southeast Asia may also be seen as more attractive than chipmaking powerhouses such as South Korea and Taiwan due to the region’s perceived neutrality amid ongoing trade tensions between the U.S. and China.

“South Korea and Taiwan can’t camouflage themselves, but countries like Vietnam, India, and Singapore are positioning themselves as a third way, a neutral bridge between two titans,” Sarah Kreps, director of Cornell University’s Tech Policy Lab, told CNBC.

Vietnam has emerged as an alternative semiconductor production base for global chip makers. The country has invested billions of dollars in investments to set up research and education centers, attracting major chipmakers to shop there. Samsung, the world’s largest memory chip maker, has reportedly committed to investing a further US$3.3 billion in the Southeast Asian country this year. The South Korean conglomerate aims to produce chip components by July 2023.

“Companies that have had manufacturing facilities in China like Samsung can invest in manufacturing alternatives that bring many of the benefits of manufacturing facilities in China but without the political baggage,” said Kreps.

 

What You Missed:

 

China EV Makers Eye Southeast Asia As Competition At Home Heats Up
Pre-Fabricate Large Workpieces With Precision
TIMTOS 2023 Welcomes International Visitors
Optomec And Acme Manufacturing Introduce The Industry’s First Fully Automated Work Cell For The Additive Repair Of Turbine Parts
Looking for the Optimum Tool
Intel’s Comeback With 3nm And 4nm Chips In 2023
Accurate Parts Evaluation With ZEISS BOSELLO MAX
Metal Cutting Technology (Water Jet) For High Risk Environments
igus Helps Companies Get Started With IoT Using i.Sense CF.Q
EV Battery Fires Preventable With Extra Lithium Salt

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post Vietnam Semiconductor Market Stands A Chance As Makers Avoid China appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Intel’s Comeback With 3nm And 4nm Chips In 2023 https://www.equipment-news.com/intels-comeback-with-3nm-and-4nm-chips-in-2023/ Tue, 06 Dec 2022 09:01:53 +0000 https://www.equipment-news.com/?p=27612 Intel Corporation vowed to reclaim its pole position in semiconductor manufacturing, according to Vice President Ann Kelleher, Head of Technology Development. Source: Bloomberg “We’re completely on track, we do quarterly milestones, and according to those milestones we’re ahead or on…

The post Intel’s Comeback With 3nm And 4nm Chips In 2023 appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>
Intel Corporation vowed to reclaim its pole position in semiconductor manufacturing, according to Vice President Ann Kelleher, Head of Technology Development.

Source: Bloomberg


“We’re completely on track, we do quarterly milestones, and according to those milestones we’re ahead or on track,” Intel Vice President Ann Kelleher, Head of Technology Development, said.

Intel Chief Executive Officer Pat Gelsinger vowed to regain leadership in production technology, formerly one of the foundations of the company’s decades of dominance in the US$580 billion industry. Kelleher’s team is trying to make up for the chipmaker’s delay in delivering a manufacturing technique that arrived five years later than originally promised. The group is accelerating the effort to introduce new processes at an unprecedented pace.

If Gelsinger’s plan succeeds, Intel stands to reverse market share losses to rivals such as Advanced Micro Devices Incorporated and Nvidia Corporation. Better production will also allow Intel to attract customers for the CEO’s attempt to take on Taiwan Semiconductor Manufacturing Company (TSMC) and Samsung Electronics Company in the growing business of contract manufacturing — making semiconductors for other chip companies.

Kelleher said Intel is taking a far more pragmatic approach than in the past, building in contingency plans to make sure there are no more major delays. It’s also relying more on equipment vendors for help, rather than trying to do all of the work itself, she said.

“Intel in the past had high walls in terms of not sharing,” said Kelleher, who has been with the Santa Clara, California-based company for more than 30 years. “We don’t need to lead in everything.”

Intel is trying to improve its manufacturing capabilities as it struggles with declining revenue and a steep drop in demand for personal computers, which generate more than half its sales. In October, the company said actions including headcount reductions and slower spending on new plants will result in savings of US$3 billion next year, with annual cuts swelling to much as US$10 billion by the end of 2025.

Manufacturing chips with better production — with improvements designated in smaller numbers of nanometers, or billionths of a meter — makes factories more efficient and improves the ability of the electronic components to store and process data in a more efficient way.

Intel is currently mass-producing 7-nanometer chips. It is ready to start manufacturing 4-nanometer semiconductors and will be ready to move to 3 nanometers in the second half of 2023. Originally a measure of the main part of a transistor, the nanometer term is now more loosely applied to signify how advanced companies are relative to their rivals.

Kelleher, who worked her way through Intel’s ranks after starting in its factories, has a prosaic view of the marketing terminology used to compare technology capabilities across the industry.

“Seven measures nothing, we might as well call it ‘George,’” she said.

While terms like 7 nanometer may have little relevance to the actual world of chip production these days, Kelleher is committed to restoring Intel’s luster. She said her budget is secure and won’t be affected by the company’s cost cuts.

Currently, TSMC and Samsung are widely credited with having overtaken Intel in production technology. The Taiwanese company pioneered the business of making chips for others, and both companies are now central to the global supply chain. That includes making components for companies including Apple Incorporated., Qualcomm Incorporated. and Amazon.com Incorporated, as well as direct Intel rivals such as AMD and Nvidia.

 

What You Missed:

 

heimatec’s Update On Live Tooling
Achteck Tool Technology’s Assurance Of Higher Efficiency And Reliability
Triboelectric Vibration Sensor For Machinery Condition Monitoring
SKF Increases Regional Capabilities Across Asia
EPD For Steel Companies – The Impact On The Steel Supply Chain, Manufacturers, And The Environment In Southeast Asia (SEA)
China EV Makers Eye Southeast Asia As Competition At Home Heats Up
Pre-Fabricate Large Workpieces With Precision
TIMTOS 2023 Welcomes International Visitors
Optomec And Acme Manufacturing Introduce The Industry’s First Fully Automated Work Cell For The Additive Repair Of Turbine Parts
Looking for the Optimum Tool

 

 

WANT MORE INSIDER NEWS? SUBSCRIBE TO OUR DIGITAL MAGAZINE NOW!

 

CONNECT WITH US:  LinkedIn, Facebook, Twitter

 

Letter to the Editor
Do you have an opinion about this story? Do you have some thoughts you’d like to share with our readers? APMEN News would love to hear from you!

Email your letter to the Editorial Team at Christellee@epl.com.sg

The post Intel’s Comeback With 3nm And 4nm Chips In 2023 appeared first on Asia Pacific Metalworking Equipment News | Manufacturing | Automation | Quality Control.

]]>